[PDF] Insertion and promotion for tree-based PseudoLRU last-level caches | Semantic Scholar (2024)

Skip to search formSkip to main contentSkip to account menu

Semantic ScholarSemantic Scholar's Logo
@article{Jimnez2013InsertionAP, title={Insertion and promotion for tree-based PseudoLRU last-level caches}, author={Daniel A. Jim{\'e}nez}, journal={2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)}, year={2013}, pages={284-296}, url={https://api.semanticscholar.org/CorpusID:1268408}}
  • Daniel A. Jiménez
  • Published in Micro 7 December 2013
  • Computer Science

A novel last-level cache replacement algorithm with approximately the same complexity and storage requirements as tree-based PseudoLRU, but with performance matching state of the art techniques such as dynamic re-reference interval prediction (DRRIP) and protecting distance policy (PDP).

64 Citations

Highly Influential Citations

3

Background Citations

25

Methods Citations

18

Figures from this paper

  • figure 1
  • figure 2
  • figure 3
  • figure 4
  • figure 8
  • figure 10
  • figure 12
  • figure 13

Topics

PseudoLRU (opens in a new tab)Dynamic Re-reference Interval Prediction (opens in a new tab)Set Dueling (opens in a new tab)Least Recently Used (opens in a new tab)Cache Replacement Policies (opens in a new tab)Cache Block (opens in a new tab)Last-level Cache (opens in a new tab)State-of-the Art Replacement Policies (opens in a new tab)Power-delay Products (opens in a new tab)Cache Replacement Algorithms (opens in a new tab)

64 Citations

Performance and area aware replacement policy for GPU architecture
    Fatemeh Kazemi Hassan AbadiSaeed Safari

    Computer Science, Engineering

    2014 4th International Conference on Computer and…

  • 2014

This work proposes old Tree-based PLRU on two-level caches with higher speed up or performance matching of LRU at GPUs with high accuracy profiling logic and cache partitioning hardware for this scheme.

  • 2
  • Highly Influenced
Block value based insertion policy for high performance last-level caches

Value based Insertion Policy (VIP) is proposed which aims to reserve more blocks with higher values in the cache which can improve cache performance significantly in both single-core and multi-core environment while requiring a low storage overhead.

  • 5
Exploiting Long-Term Temporal Cache Access Patterns for LRU Insertion Prioritization
    Shane CarrollWei-Ming Lin

    Computer Science

    Parallel Process. Lett.

  • 2021

This paper proposes a method that uses a buffer called the history queue to record longer-term access-eviction patterns than the LRU buffer can capture, and makes a simple modification to LRU insertion policy such that recently-recalled blocks have priority over others.

Last-Level Cache Insertion and Promotion Policy in the Presence of Aggressive Prefetching
    Daniel A. JiménezElvira TeranPaul V. Gratz

    Computer Science

    IEEE Computer Architecture Letters

  • 2023

It is found that a simple replacement policy with minimal overhead provides at least the same benefit as a state-of-the-art replacement policy in the presence of aggressive pattern-based prefetching.

  • 3
Addressing Variability in Reuse Prediction for Last-Level Caches
    P. Faldu

    Computer Science, Engineering

    ArXiv

  • 2020

This thesis proposes two cache management techniques, one domain-agnostic and onedomain-specialized, to improve cache efficiency by addressing variability in reuse prediction and aims to design robust cache management mechanisms and policies for LLC in the face of variability in reused blocks.

  • PDF
Kill the Program Counter: Reconstructing Program Behavior in the Processor Cache Hierarchy
    Jinchun KimElvira TeranPaul V. GratzDaniel A. JiménezSeth H. PugsleyC. Wilkerson

    Computer Science

    ASPLOS

  • 2017

This paper proposes a holistic cache management technique called Kill-the-PC (KPC) that overcomes the weaknesses of traditional prefetching and replacement policy algorithms and removes the need to propagate the PC through entire on-chip cache hierarchy while providing a holistic caches management approach with better performance.

  • 46
  • PDF
Classification-Based Unified Cache Replacement via Partitioned Victim Address History
    Eishi Arima

    Computer Science

    2020 23rd Euromicro Conference on Digital System…

  • 2020

This paper proposes a control system to dynamically optimize the history partitions and the cache allocation priorities at the same time by using the statistics of the history structure and indicates that the proposed technique improves performance considerably compared with the conventional LRU-based approach and others.

  • 1
ENHANCEMENT OF CACHE PERFORMANCE IN MULTI-CORE PROCESSORS
    P. Jawahar

    Computer Science, Engineering

  • 2014

Three novel cache replacement policies for L2 cache that are targeted towards parallel multi-threaded applications that generate differing patterns of workload at different intervals are proposed and offer an improvement of up to 9% in overall hits at the L1 cache level and an IPC speedup of 1.08 times that of LRU for a wide range of multithreaded benchmarks.

Reuse Distance-Based Probabilistic Cache Replacement
    Subhasis DasTor M. AamodtW. Dally

    Computer Science

    ACM Trans. Archit. Code Optim.

  • 2016

This article proposes Probabilistic Replacement Policy (PRP), a novel replacement policy that evicts the line with minimum estimated hit probability under optimal replacement instead of the line with

  • 21
  • PDF
The impact of cache inclusion policies on cache management techniques
    Luna BackesDaniel A. Jiménez

    Computer Science, Engineering

    MEMSYS

  • 2019

The results show that state-of-the-art prefetchers are fundamental when evaluating replacement policies due to their tight interplay, and that inclusive caches require a less aggressive prefetching mechanism to prevent excessive back-invalidation.

  • 5
  • PDF

...

...

31 References

Insertion policy selection using Decision Tree Analysis
    S. KhanDaniel A. Jiménez

    Computer Science

    2010 IEEE International Conference on Computer…

  • 2010

This work uses decision tree analysis of multi-set-dueling to choose the optimal insertion position in the LRU stack, which reduces misses by 5.16% and achieves 7.19% IPC improvement over LRU.

  • 20
  • PDF
Adaptive insertion policies for high performance caching
    Moinuddin K. QureshiA. JaleelY. PattS. SteelyJ. Emer

    Computer Science

    ISCA '07

  • 2007

A Dynamic Insertion Policy (DIP) is proposed to choose between BIP and the traditional LRU policy depending on which policy incurs fewer misses, and shows that DIP reduces the average MPKI of the baseline 1MB 16-way L2 cache by 21%, bridging two-thirds of the gap between LRU and OPT.

  • 715
  • Highly Influential
  • PDF
Counter-Based Cache Replacement and Bypassing Algorithms
    Mazen KharbutliYan Solihin

    Computer Science

    IEEE Transactions on Computers

  • 2008

A new counter-based approach to deal with cache pollution, predicting lines that have become dead and replacing them early from the L2 cache and identifying never-reaccessed lines, which is augmented with an event counter that is incremented when an event of interest such as certain cache accesses occurs.

  • 211
  • PDF
Cache replacement based on reuse-distance prediction
    G. KeramidasPavlos PetoumenosS. Kaxiras

    Computer Science

    2007 25th International Conference on Computer…

  • 2007

This work proposes to directly predict reuse-distances via instruction-based (PC) prediction and use this information for cache level optimizations and evaluates the reusedistance based replacement policy of the L2 cache using a subset of the most memory intensive SPEC2000.

  • 128
  • PDF
Improving Cache Management Policies Using Dynamic Reuse Distances
    Nam DuongDali ZhaoTaesu KimRosario CammarotaM. ValeroA. Veidenbaum

    Computer Science

    2012 45th Annual IEEE/ACM International Symposium…

  • 2012

A new way to use dynamic reuse distances to further improve cache management policies is proposed which prevents replacing a cache line until a certain number of accesses to its cache set, called a Protecting Distance (PD).

  • 162
  • PDF
A Case for MLP-Aware Cache Replacement
    Moinuddin K. QureshiDaniel N. LynchO. MutluY. Patt

    Computer Science

    33rd International Symposium on Computer…

  • 2006

Evaluations with the SPEC CPU2000 benchmarks show that MLP-aware cache replacement can improve performance by as much as 23% and a novel, low-hardware overhead mechanism called sampling based adaptive replacement (SBAR) is proposed, to dynamically choose between an MLp-aware and a traditional replacement policy, depending on which one is more effective at reducing the number of memory related stalls.

  • 321
  • PDF
Sampling Dead Block Prediction for Last-Level Caches
    S. KhanYingying TianDaniel A. Jiménez

    Computer Science

    2010 43rd Annual IEEE/ACM International Symposium…

  • 2010

This paper introduces sampling dead block prediction, a technique that samples program counters (PCs) to determine when a cache block is likely to be dead, and shows how this technique can reduce the number of LLC misses over LRU and be used to significantly improve a cache with a default random replacement policy.

  • 194
  • PDF
PIPP: promotion/insertion pseudo-partitioning of multi-core shared caches
    Yuejian XieG. Loh

    Computer Science, Engineering

    ISCA '09

  • 2009

This work proposes a new cache management approach that combines dynamic insertion and promotion policies to provide the benefits of cache partitioning, adaptive insertion, and capacity stealing all with a single mechanism.

  • 329
  • Highly Influential
  • PDF
Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency
    Haiming LiuM. FerdmanJaehyuk HuhD. Burger

    Computer Science

    2008 41st IEEE/ACM International Symposium on…

  • 2008

This paper proposes a new class of dead-block predictors that predict dead blocks based on bursts of accesses to a cache block, and evaluates three ways to increase cache efficiency by eliminating dead blocks early: replacement optimization, bypassing, and prefetching.

  • 194
  • PDF
The ZCache: Decoupling Ways and Associativity
    Daniel SánchezChristos Kozyrakis

    Computer Science

    2010 43rd Annual IEEE/ACM International Symposium…

  • 2010

The zcache is presented, a cache design that allows much higher associativity than the number of physical ways, and it is shown that zcaches provide higher performance and better energy efficiency than conventional caches without incurring the overheads of designs with a large number of ways.

  • 199
  • PDF

...

...

Related Papers

Showing 1 through 3 of 0 Related Papers

    [PDF] Insertion and promotion for tree-based PseudoLRU last-level caches | Semantic Scholar (2024)
    Top Articles
    Latest Posts
    Article information

    Author: Clemencia Bogisich Ret

    Last Updated:

    Views: 5688

    Rating: 5 / 5 (80 voted)

    Reviews: 95% of readers found this page helpful

    Author information

    Name: Clemencia Bogisich Ret

    Birthday: 2001-07-17

    Address: Suite 794 53887 Geri Spring, West Cristentown, KY 54855

    Phone: +5934435460663

    Job: Central Hospitality Director

    Hobby: Yoga, Electronics, Rafting, Lockpicking, Inline skating, Puzzles, scrapbook

    Introduction: My name is Clemencia Bogisich Ret, I am a super, outstanding, graceful, friendly, vast, comfortable, agreeable person who loves writing and wants to share my knowledge and understanding with you.